久久精品无码精品免费专区_亚洲av无码国产精品永久一区_久久亚洲AV成人无码精品_免费看黄色片

產品展示
PRODUCT DISPLAY
技術支持您現在的位置:首頁 > 技術支持 > PID算法的FPGA實現
PID算法的FPGA實現(xian)
  • 發布日期:2014-09-03      瀏覽次數:2966
    • 1.引言

       

      在許多現代化(hua)的工業(ye)生(sheng)產如冶金、電力等(deng),實現對溫度的精度控制至關重要的,不僅直接影(ying)響(xiang)著產品的質量,而且還關系(xi)到(dao)生(sheng)產安全、能源節約等(deng)一系(xi)列重大經濟指標。

       

      PID控(kong)(kong)(kong)制由于(yu)(yu)其(qi)魯(lu)棒性(xing)好(hao),可(ke)靠性(xing)高,在常規的(de)(de)(de)(de)(de)溫度控(kong)(kong)(kong)制中(zhong)應用(yong)(yong)非常廣泛。目前(qian)工程(cheng)(cheng)的(de)(de)(de)(de)(de)實(shi)(shi)(shi)際應用(yong)(yong)中(zhong),大多(duo)數模(mo)糊PID控(kong)(kong)(kong)制器都(dou)利用(yong)(yong)單片(pian)機(ji)(ji)軟件(jian)(jian)編程(cheng)(cheng)來實(shi)(shi)(shi)現(xian)(xian),然(ran)而(er)單片(pian)機(ji)(ji)的(de)(de)(de)(de)(de)指(zhi)令是(shi)按順序(xu)(xu)執(zhi)行(xing)的(de)(de)(de)(de)(de),實(shi)(shi)(shi)時(shi)性(xing)不(bu)強,加上軟件(jian)(jian)實(shi)(shi)(shi)現(xian)(xian)容易(yi)受外界(jie)(jie)的(de)(de)(de)(de)(de)干(gan)(gan)擾,抗(kang)干(gan)(gan)擾性(xing)能(neng)力差,對(dui)于(yu)(yu)實(shi)(shi)(shi)時(shi)性(xing)要求很高和外界(jie)(jie)干(gan)(gan)擾比較嚴重的(de)(de)(de)(de)(de)系(xi)統(tong)不(bu)太適(shi)宜。本文選取FPGA(現(xian)(xian)場可(ke)編程(cheng)(cheng)門(men)陣列(lie))作為系(xi)統(tong)的(de)(de)(de)(de)(de)主控(kong)(kong)(kong)制芯片(pian),FPGA所有的(de)(de)(de)(de)(de)信(xin)號都(dou)是(shi)時(shi)鐘驅動的(de)(de)(de)(de)(de),對(dui)于(yu)(yu)程(cheng)(cheng)序(xu)(xu)的(de)(de)(de)(de)(de)執(zhi)行(xing)具有并(bing)行(xing)運算的(de)(de)(de)(de)(de)能(neng)力,顯著的(de)(de)(de)(de)(de)提高了(le)系(xi)統(tong)控(kong)(kong)(kong)制的(de)(de)(de)(de)(de)實(shi)(shi)(shi)時(shi)性(xing),在FPGA內部硬件(jian)(jian)實(shi)(shi)(shi)現(xian)(xian)還可(ke)以防止(zhi)像單片(pian)機(ji)(ji)程(cheng)(cheng)序(xu)(xu)一樣,在惡劣的(de)(de)(de)(de)(de)環境條(tiao)件(jian)(jian)下發生程(cheng)(cheng)序(xu)(xu)跑飛的(de)(de)(de)(de)(de)問題。尤(you)其(qi)是(shi)現(xian)(xian)在FPGA器件(jian)(jian)有越(yue)來越(yue)多(duo)的(de)(de)(de)(de)(de)參考設計方(fang)案以及IP(知(zhi)識產權)核心(xin)庫(ku)方(fang)面的(de)(de)(de)(de)(de)支持(chi)。利用(yong)(yong)FPGA設計的(de)(de)(de)(de)(de)PID控(kong)(kong)(kong)制器一方(fang)面可(ke)以將實(shi)(shi)(shi)現(xian)(xian)PID算法的(de)(de)(de)(de)(de)模(mo)塊(kuai)單獨作為控(kong)(kong)(kong)制模(mo)塊(kuai)來使用(yong)(yong),直(zhi)接(jie)去實(shi)(shi)(shi)現(xian)(xian)對(dui)控(kong)(kong)(kong)制對(dui)象的(de)(de)(de)(de)(de)調(diao)節(jie),另(ling)一方(fang)面,基于(yu)(yu)FPGA的(de)(de)(de)(de)(de)PID控(kong)(kong)(kong)制算法也可(ke)以將其(qi)作為系(xi)統(tong)內的(de)(de)(de)(de)(de)IP核,以便在多(duo)路或(huo)復雜的(de)(de)(de)(de)(de)系(xi)統(tong)上直(zhi)接(jie)調(diao)用(yong)(yong),加快研發設計速(su)度。

       

      2.PID算法分析

       

      2.1 離散PID算法

       

      PID控(kong)制(zhi)系統(tong)(tong)是一個(ge)簡(jian)單的閉環(huan)系統(tong)(tong),如圖1所示,PID系統(tong)(tong)框圖中,整個(ge)系統(tong)(tong)主(zhu)要包(bao)括比較器、PID控(kong)制(zhi)器和(he)控(kong)制(zhi)對象,其中PID包(bao)括三個(ge)環(huan)節,即比例、積分和(he)微分。

       

       

      圖1 PID系統框圖
      圖1 PID系統框圖

       

       

      圖1中的r(t)作(zuo)為系(xi)統(tong)的給(gei)定(ding)(ding)值(zhi)(zhi),y(t)作(zuo)為系(xi)統(tong)的輸出(chu)值(zhi)(zhi),e(t)是(shi)給(gei)定(ding)(ding)值(zhi)(zhi)與輸出(chu)值(zhi)(zhi)的偏差,所以系(xi)統(tong)的偏差可以求得:

       

      e(t)=r(t)-y(t) (1)

       

      u(t)作為控制(zhi)系統中(zhong)的(de)中(zhong)間便量(liang),既是(shi)偏差e(t)通過PID控制(zhi)算法處理后的(de)輸(shu)出量(liang),又是(shi)被控對象的(de)輸(shu)入量(liang),因此模擬PID控制(zhi)器的(de)控制(zhi)規律(lv)為:

       

       

       

       

      其中,KP為模擬控制器的比例增益,TI為模擬控制器的積分時間常數,TD為(wei)模擬控制器(qi)的(de)微分(fen)時(shi)間常數。

    聯系方式
    • 電話

      86-020-31199948/85550363

    • 傳真

      86-020-85628533

    在線客服